初识Quartus II

学习使我自闭,今天为了做数字逻辑的作业,整了一下午这个QuartusII。现在终于可以好好写作业了。闲着没事顺便在博客里面简单记录一下。

安装Quartus II

这里我本来看到一个QuartusII13.0破解版教程https://blog.csdn.net/qq_18649781/article/details/81025650,不过班里分享了一个,虽然是9.1老版的,也够用了。我就不多此一举重新下载了。

注意

安装路径及工程文件路径不要出现中文。
安装完后建议断网运行,然后检查设置,关闭自动联网检查更新状态。
在工具栏里面选择Tools->Options->Internet Connectivity->check the Altera web site for Quartus II information(联网查找更新信息)取消掉,保存即可
未完全破解也可以使用基本功能,如需硬件模拟请导入许可证破解激活。

新建工程

必要步骤,建议先新建工程,也可以之后新建
注意:硬件测试请设置好工程环境配置,不可以跳过设置

27.0.png

逻辑电路图

新建逻辑电路图文件
27.0.1.png
双击网格或点击左边按钮添加逻辑电路部件
27.0.2.png
用导线连接逻辑电路
27.0.3.png
添加针脚完成电路设计
27.0.4.png
开始编译,编译完成可查看RTL模拟图(见‘编程设计’下面的‘RTL模拟图’)
注意:编译前需要保存文件(Ctrl + S)

27.0.5.png

编程设计

新建编程文件
27.1.png
注意:名称与module统一,代码格式,必要的分号,结束语句回车换行
编译前需要保存文件(Ctrl + S)

27.2.png
之前如果未新建工程,可在此提示处新建工程。
27.3.0.jpg
注意程序源代码*.v文件的位置,可移动至工程文件夹。
27.3.5.png
工程文件夹建议取同名文件夹,方便记忆。
27.4.png
编译源代码,无红色错误警告即可。
27.5.jpg

生成RTL模拟图

电路或源代码编译成功后可查看RTL模拟图。
27.6.png

生成逻辑函数图

电路或源代码编译成功后可查看逻辑函数图。
27.6.1.png
27.6.2.png

波形模拟

新建波形文件
27.7.png
右键或双击左边空列表,可添加工程的逻辑变量。
27.8.png
输入名称查找项目,设置相关参数,再检索变量。
注意:不要点击OK,应该选择Node Finder,如果在一个工程里面,可直接选择Node Finder点击检索变量

27.9.png
按大概照流程来,完成逻辑变量的导入
27.11.jpg
设置高低电平时间参数,过小运行时会有误差。
27.12.0.png
27.10.png
倍增时间可以设置出0-15递增的dcba十进制数。
27.12.2.png
或选择如下优化设置
27.12.3.png
这是设置数值连续的快捷方法,至于你可不可以设置,我就不知道了:huaji22:
27.12.3_1.png
设置变量组如图所示,查看真值表更加方便
27.12.3_2.png

保存后才可以运行波形图。
27.12.5.png
27.13.png
27.14.png

点赞

发表评论

电子邮件地址不会被公开。必填项已用 * 标注